Intel đẩy mạnh đổi mới trong tiến trình xử lý và đóng gói

SkylerNew

Chuyên viên tin tức
Thành viên BQT
Tập đoàn Intel hôm nay đã tiết lộ một trong những lộ trình chi tiết nhất mà công ty từng cung cấp về công nghệ xử lý và đóng gói, giới thiệu một loạt các cải tiến cơ bản sẽ hỗ trợ cho các sản phẩm từ nay tới năm 2025 và xa hơn nữa.

image.jpg

Ngoài việc công bố RibbonFET, kiến trúc bóng bán dẫn mới đầu tiên trong hơn một thập kỷ quavà PowerVia, một phương thức mới đầu tiên trong ngành để cấp nguồn mặt sau vi mạch, công ty đã nêu bật kế hoạch áp dụng nhanh chóng thế hệ tiếp theo của công nghệquang khắc (lithography) sử dụng tia siêu cực tím (EUV), được biết đến với tên gọi EUV Khẩu độ số cao (High NA). Intel được đánh giá là sẽ nhận được công cụ sản xuất sử dụng EUV vớikhẩu độ cao đầu tiên trong ngành.

Trong buổi phát sóng trực tuyến của chương trình "Intel Accelerated", ông Pat Gelsinger, Giám đốc điều hành của Intel, chia sẻ : “Dựa trên nền tảng dẫn đầuvững chãi của Intel về công nghệ đóng gói tiên tiến, chúng tôi đang đẩy nhanh lộ trình đổi mới để đảm bảo một hướng đi rõ ràng nhằm dẫn đầu hiệu suất tiến trình cho đếnnăm 2025. Chúng tôi đang tận dụng hệ thống đổi mới vô song để cung cấp những tiến bộ công nghệ từ bóng bán dẫn đến cấphệ thống. Cho đến khi bảng tuần hoàn cạn kiệt, chúng tôi sẽ không ngừng theo đuổi Định luật Moore và quá trình đổi mới nhờ vào sự kỳ diệu của silicon.”

Từ lâu, toàn ngành công nghiệp đã nhận ra rằng việc định danh node dựa trên nanomet truyền thống đã không còn khớp với chỉ số chiều dài cổng thực tế vào năm 1997. Ngày 27/7 theo giờ Thái Bình Dương (PT), Intel đã giới thiệu cấu trúc định danh mới cho các node, tạo ra một khuôn khổ rõ ràng và nhất quán để cung cấp cho khách hàng cái nhìn chính xác hơn về các tiến trình trong toàn ngành. Thông báo chính thức này càng trở nên quan trọng hơn bao giờ hết với sự ra mắt của Intel Foundry Services (IFS). Ông Gelsinger nói: “Những đổi mới được công bố ngày hôm nay sẽ không chỉ hỗ trợ lộ trình sản phẩm của Intel; chúng cũng sẽ rất quan trọng đối với khách hàng dựa vào xưởng đúc của chúng tôi. Sự quan tâm dành cho IFS đang lớn dần và tôi rất vui khi hôm nay chúng tôi đã có thể công bố hai khách hàng lớn đầu tiên của mình. IFS đã sẵn sàng cho các cuộc đua!"

image.jpg

Các nhà phát triển công nghệ tại Intel đã mô tả lộ trình phát triển trình như sau, với cách định danh node và những cải tiến cho phép:

· Intel 7 mang lại hiệu suất tăng khoảng 10% đến 15% trên mỗi watt so với Intel 10nm SuperFin, dựa trên tối ưu hóa bóng bán dẫn FinFET. Intel 7 sẽ xuất hiện trong các sản phẩm như bộ vi xử lý Alder Lake cho khách hàng vào năm 2021 và Sapphire Rapids cho trung tâm dữ liệu, dự kiến sẽ được đưa vào sản xuất trong quý đầu tiên của năm 2022.

· Intel 4 tận dụng công nghệ quang khắc EUV để tạo ra các tính năng cực nhỏ bằng cách sử dụng ánh sáng có bước sóng cực ngắn. Với mức tăng hiệu suất trên mỗi watt khoảng 20%, cùng với những cải tiến về diện tích, Intel 4 sẽ sẵn sàng đi vào sản xuất vào nửa cuối năm 2022 cho các sản phẩm xuất xưởng vào năm 2023, bao gồm bộ vi xử lý Meteor Lake cho khách hàng và Granite Rapids cho trung tâm dữ liệu.

· Intel 3 tiếp tục đẩy mạnh quá trình tối ưu hóa FinFET và nâng cao EUV để tăng hiệu suất trên mỗi watt khoảng 18% so với Intel 4, cùng với các cải tiến bổ sung về diện tích. Intel 3 sẽ được tiến hành sử dụng trên các sản phẩm vào nửa cuối năm 2023.

· Intel 20A mở ra kỷ nguyên angstrom với hai công nghệ đột phá, RibbonFET và PowerVia. RibbonFET, với hình thức triển khai bóng bán dẫn toàn cổng (gate-all-around) của Intel, sẽ là kiến trúc bóng bán dẫn mới đầu tiên của công ty kể từ khi tiên phong FinFET vào năm 2011. Công nghệ này mang lại tốc độ chuyển đổi bóng bán dẫn nhanh hơn trong khi vẫn đạt được dòng truyền động giống như nhiều cánh tản nhiệt trong một diện tích tiếp xúc nhỏ hơn. PowerVia là cách ứng dụng đầu tiên trong ngành của Intel về cấp nguồn ở mặt sau vi mạch, tối ưu hóa việc truyền tín hiệu bằng cách loại bỏ nhu cầu định tuyến nguồn ở mặt trước của đế wafer. Intel 20A dự kiến sẽ được phát triển vào năm 2024. Công ty cũng rất vui mừng về cơ hội hợp tác với Qualcomm thông qua công nghệ xử lý Intel 20A.

· Hướng tới 2025 và xa hơn nữa: Ngoài Intel 20A, Intel 18A đang trong quá trình phát triển để ra mắt vào đầu năm 2025 với các cải tiến cho RibbonFET, đảm bảo mang lại một bước nhảy vọt về hiệu suất bóng bán dẫn. Intel cũng đang tiếp tục làm việc để xác định, xây dựng và triển khai thế hệ tiếp theo của EUV khẩu hiệu số cao và hy vọng sẽ nhận được công cụ sản xuất đầu tiên trong ngành. Intel đang hợp tác chặt chẽ với ASML để đảm bảo sự thành công của bước đột phá này vượt lên trên thế hệ EUV hiện tại.

Gói thử nghiệm các ô tính toán “Meteor Lake” dành cho khách hàng trên Intel 4 được trưng bày trong khuôn khổ sự kiện “Intel Accelerated” vào ngày 26 tháng 7 năm 2021 (Giờ Thái Bình Dương – PT). Tại sự kiện này, Intel đã trình bày lộ trình công nghệ đóng gói và tiến trình trong tương lai của công ty. (Hình ảnh cung cấp: Tập đoàn Intel)

Tiến sĩ Ann Kelleher, Phó chủ tịch cấp cao kiêm Tổng giám đốc Phát triển Công nghệ của Intel, cho biết: “Intel đã có lịch sử lâu đời về những đổi mới mang tính nền tảng của quy trình xử lý và đã đưa ngành phát triển tới với những bước tiến vượt bậc. Chúng tôi đã đi đầu trong quá trình chuyển đổi sang silicon được kéo dãn (strained silicon) tại90nm, tới cổng kim loại có hệ số điện môi cao (high-k) ở 45nm và cả FinFET ở 22nm. Intel 20A sẽ là một bước ngoặt khác trong công nghệ xử lý với hai cải tiến đột phá: RibbonFET và PowerVia.”

Với chiến lược IDM 2.0 mới của Intel, việc đóng gói cấu trúc ngày càng trở nên quan trọng để tận dụng các lợi ích của Định luật Moore. Intel đã thông báo rằng AWS sẽ là khách hàng đầu tiên sử dụng các giải pháp đóng gói IFS, đồng thời cung cấp thông tin chi tiết sau đây về lộ trình đóng gói tiên tiến hàng đầu trong ngành:

· EMIB tiếp tục dẫn đầu ngành với vị thế của giải pháp nhúng cầu nối (embedded bridge solution) 2.5D đầu tiên, với các sản phẩm được vận chuyển từ năm 2017. Sapphire Rapids sẽ là sản phẩm đầu tiên cho trung tâm dữ liệu Intel® Xeon datacenter® xuất xưởng với số lượng lớn với EMIB (cầu nối đa đế nhúng). Đây cũng sẽ là thiết bị có kích thước kẻ đôi ở mắt lưới (dual-recticle) đầu tiên trong ngành, mang lại hiệu năng tương đương một thiết kế nguyên khối. Ngoài Sapphire Rapids, thế hệ tiếp theo của EMIB sẽ đưa khoảng cách từ 55 micron xuốngcòn45 micron.

· Foveros tận dụng khả năng đóng góiđế wafer để cung cấp giải pháp xếp chồng 3D đầu tiên. Meteor Lake là dòng sản phẩm thứ hai áp dụng Foveros trong một sản phẩm dànhcho khách hàng và có khoảng cách từ tâm của các phần tử (bump pitch) là 36 micron, các ô trải dài trên nhiều tiến trình sản xuất thu nhỏ và dải công suất thiết kế nhiệt từ 5 đến 125W.

· Foveros Omni mở ra thế hệ tiếp theo của công nghệ Foveros bằng cách cung cấp sự linh hoạt không giới hạn với công nghệ xếp chồng 3D hiệu suất cho các thiết kế mô-đun và kết nối liên kết die-to-die (liên kết và tích hợp các đế chip chồng lên nhau). Foveros Omni cho phép phân chia đế chip, trộn nhiều tấm khuôn trên cùng với nhiều tấm nền xuyên suốt các tiến trình sản xuất chất bán dẫn hỗn hợp và dự kiến sẽ sẵn sàng để sản xuất số lượng lớn vào năm 2023.

· Foveros Direct chuyển sang liên kết copper-to-copper trực tiếp cho các kết nối có điện trở thấp và làm mờ ranh giới giữa nơi kết thúc đế wafer và nơi cấu trúc bảo vệ bắt đầu. Foveros Direct cho phép khoảng cách nhỏ hơn 10 micron cung cấp thứ tự tăng cường trong mật độ kết nối cho công nghệ xếp chồng 3D, mở ra các khái niệm về phân vùng đế chip chức năngchưa từng có trước đây. Foveros Direct sẽ hỗ trợ Foveros Omni và cũng dự kiến sẽ được đưa vào sử dụng vào năm 2023.

Các đột phá được thảo luận ngày hôm nay chủ yếu được phát triển tại các nhà máy của Intel ở Oregon và Arizona, củng cố vai trò của công ty với cương vị một tập đoàn dẫn đầu về nghiên cứu, phát triển và sản xuất ở Hoa Kỳ. Bên cạnh đó, những tiến bộ mà Intel tạo ra cũng là thành quả của sự phối hợp chặt chẽ với một hệ sinh thái gồm những đối tác khác nhau ở Mỹ và Châu Âu. Quan hệ đối tác mật thiết chính là chìa khóa góp phần mang lại những đổi mới cơ bản từ quy mô phòng thí nghiệm đến sản xuất khối lượng lớn. Vì vậy, Intel cam kết hợp tác với chính phủ các nước để tăng cường chuỗi cung ứng, thúc đẩy kinh tế và an ninh quốc gia.

Intel kết thúc buổi phát sóng webcast bằng việc đưa ra những thông tin chi tiết về sự kiện Intel InnovatiON, sẽ được tổ chức trực tuyến cũng như tại San Francisco vào ngày 27- 28 tháng 10 năm 2021. Để biết thêm thông tin, vui lòng truy cập trang web Intel ON.

Chi tiết về lộ trình xử lý và định danh tiến trình sản xuất thu nhỏ của Intel sẽ có trong factsheet về quy trình. Để xem lại webcast của ngày hôm nay, hãy truy cập Intel Newsroom hoặc trang web dành riêng cho các nhà đầu tư của Intel.

Những tuyên bố liên quan tới kế hoạch tương lai

Thông cáo báo chí này bao gồm các tuyên bố hướng tới tương lai, liên quan đến các kế hoạch và kỳ vọng trong tương lai của Intel, bao gồm cả về lộ trình và lịch trình của công nghệ xử lý và đóng gói của Intel; tiến độ đổi mới; công nghệ và sản phẩm trong tương lai cũng như những lợi ích và tính khả dụng dự kiến của công nghệ và sản phẩm đó, bao gồm công nghệ PowerVia, RibbonFET, Foveros Omni và Foveros Direct, các node tiến trình trong tương lai cũng như các công nghệ và sản phẩm khác; công nghệ ngang bằng và dẫn đầu; cách sử dụng trong tương lai, lợi ích và tính sẵn có của EUV và các công cụ sản xuất khác; kỳ vọng liên quan đến nhà cung cấp, đối tác và khách hàng; Chiến lược của Intel; kế hoạch sản xuất; kế hoạch đầu tư và mở rộng sản xuất; và các kế hoạch và mục tiêu liên quan đến hoạt động kinh doanh xưởng đúc của Intel. Những tuyên bố như vậy liên quan đến một số rủi ro và sự không chắc chắn. Những từ như “dự đoán”, “mong đợi”, “dự định”, “mục tiêu”, “lên kế hoạch”, “tin tưởng”, “tìm kiếm”, “ước tính”, “tiếp tục”, “có thể,” “sẽ,” "Nên," "có thể ","chiến lược", "tiến độ", " đẩy mạnh", "con đường", "đúng hướng", "lộ trình", "đường ống", "nhịp độ", "động lượng", "định vị", "cam kết ”, “mang lại ”và các biến thể của những từ như vậy và các cách diễn đạt tương tự nhằm xác định các tuyên bố hướng tới tương lai. Các tuyên bố đề cập đến hoặc dựa trên các ước tính, dự báo, dự đoán và các sự kiện hoặc giả định không chắc chắn cũng xác định các tuyên bố hướng tới tương lai. Những tuyên bố như vậy dựa trên kỳ vọng hiện tại của Ban Giám đốc, bao gồm nhiều rủi ro và sự không chắc chắn có thể khiến kết quả thực tế khác biệt đáng kể so với những gì được thể hiện hoặc ngụ ý trong các tuyên bố hướng tới tương lai này. Các yếu tố quan trọng có thể khiến kết quả thực tế khác biệt so với kỳ vọng của công ty bao gồm, Intel không nhận ra những lợi ích dự kiến của chiến lược và kế hoạch của mình; thay đổi kế hoạch do kinh doanh, kinh tế hoặc các yếu tố khác; các hành động do đối thủ cạnh tranh thực hiện, bao gồm cả những thay đổi trong lộ trình công nghệ của đối thủ cạnh tranh; những thay đổi ảnh hưởng đến các dự đoán của chúng tôi liên quan đến công nghệ của chúng tôi hoặc công nghệ cạnh tranh; sự chậm trễ trong việc phát triển hoặc triển khai các công nghệ sản xuất trong tương lai của chúng tôi hoặc không đạt được lợi ích dự kiến của các công nghệ đó, bao gồm cả những cải tiến dự kiến về hiệu suất và các yếu tố khác; sự chậm trễ hoặc thay đổi trong thiết kế hoặc giới thiệu các sản phẩm trong tương lai; những thay đổi về nhu cầu hoặc kế hoạch của khách hàng; sự thay đổi của xu hướng công nghệ; khả năng của chúng tôi để đáp ứng nhanh chóng với sự phát triển công nghệ; sự chậm trễ, thay đổi kế hoạch hoặc những gián đoạn khác liên quan đến công cụ sản xuất và các nhà cung cấp khác; và các yếu tố khác được nêu trong các báo cáo của Intel được nộp hoặc cung cấp cho Ủy ban Chứng khoán và Giao dịch (SEC), bao gồm các báo cáo gần đây nhất của Intel về Mẫu 10-K và Mẫu 10-Q, có sẵn tại trang web dành riêng cho nhà đầu tư của Intel tại www.intc.com và trang web của SEC tại www.sec.gov. Intel không cam kết và từ chối rõ ràng bất kỳ nghĩa vụ nào, cập nhật bất kỳ tuyên bố nào được đưa ra trong thông cáo báo chí này, cho dù là kết quả của thông tin mới, phát triển mới hay cách khác, ngoại trừ trường hợp pháp luật có thể yêu cầu tiết lộ.

Tất cả các kế hoạch sản phẩm và dịch vụ, lộ trình và số liệu hiệu suất có thể thay đổi mà không cần thông báo trước. Sự ngang bằng về hiệu suất của quy trình và kỳ vọng của lãnh đạo dựa trên các dự đoán về hiệu suất trên mỗi watt. Hiệu suất tiến trình sản xuất thu nhỏtrong tương lai và các số liệu khác, bao gồm công suất và mật độ, là những dự đoán và vốn không chắc chắn.
 
Bên trên